CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 键盘扫描 vhdl

搜索资源列表

  1. __keyBoard

    0下载:
  2. vhdl编写的4X4键盘扫描程序,可以有效的消除抖动,并且提供蜂鸣器输出。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2622
    • 提供者:王贤
  1. keyboardScan

    0下载:
  2. PS2接口键盘扫描码截取电路,VHDL程序。该程序能够捕获PS2键盘按下的按键值,并将其扫描码转换成ASCII码。
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-17
    • 文件大小:1386
    • 提供者:宁新
  1. VHDL_CXSL

    0下载:
  2. VHDL多个有用的实例集如键盘扫描,频率计等VHDL源代码
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2981010
    • 提供者:赖滨
  1. CpldVhdl

    0下载:
  2. 用VHDL语言写的程序包含如下功能:1.键盘扫描2.控制AD转换3.产生PWM信号与51系列CPU接口,接在51地址数据总线上,单片机通过访问地址总线上的数据寄存器来控制CPLD
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:455438
    • 提供者:liubaogui
  1. keyqudou

    0下载:
  2. VHDL语言设计;功能描述:键盘扫描,不包含去抖电路
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:47832
    • 提供者:zhouliyong
  1. 矩阵键盘扫描程序.VHDL

    0下载:
  2. 所属分类:书籍源码

    • 发布日期:2009-04-02
    • 文件大小:2158
    • 提供者:leidonghai
  1. VHDLkeyboard.rar

    0下载:
  2. 4*4键盘扫描的VHDL程序,可消除抖动,可以帮助大家一下,4* 4 keyboard scan VHDL procedures to eliminate jitter, we can help you
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:5531
    • 提供者:孙仲
  1. key_matrix44

    1下载:
  2. FPGA EP1C6Q240C8 4*4键盘模块 4*4矩阵键盘,采用扫描方式检测按键-FPGA EP1C6Q240C8 4* 4 keyboard module 4* 4 matrix keyboard, using scanning detection button
  3. 所属分类:VHDL编程

    • 发布日期:2014-03-23
    • 文件大小:278801
    • 提供者:lan
  1. key

    0下载:
  2. 自己写的键盘的扫描4乘4的键盘VHDL 很好用的-KEY SCAN VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:151708
    • 提供者:weibao
  1. key_scan

    0下载:
  2. 基于fpga的键盘扫描模块vhdl描述,可以直接调用-Fpga-based keyboard scan module vhdl descr iption, you can directly call
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:595
    • 提供者:郭帅
  1. 4X4key_scan

    0下载:
  2. 基于FPGA的4x4键盘扫描程序,采用VHDL语言进行编写-FPGA-based 4x4 keyp scanner, using VHDL language to prepare
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:273840
    • 提供者:555
  1. keyscan

    0下载:
  2. 2×8 键盘扫描编程--- VHDL语言-2×8 keyboard scan---VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:579
    • 提供者:rjy
  1. jtd2

    0下载:
  2. 基于VHDL状态机设计的智能交通控制灯 总体设计结构框图如图2所示,共有11个功能模块,包括控制东西方向交通灯的状态机和控制南北方向交通灯的状态机、计数器模块、键盘扫描模块、数字合成模块、三个分位模块、数码管显示模块、动态显示扫描模块。-VHDL-based state machine design of intelligent traffic control lights
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:457565
    • 提供者:周远航
  1. PS2

    0下载:
  2. 用vhdl语言编写的ps2接口驱动程序,可扫描键盘键入的数据并显示在数码管上。-ps2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:493959
    • 提供者:王双
  1. key44

    0下载:
  2. VHDL写的4*4薄膜键盘,设计分频和扫描-VHDL was 4* 4 membrane keyboard, design and scanning frequency
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:118678
    • 提供者:
  1. KeyScan

    0下载:
  2. 基于VHDL的键盘扫描程序 仅供初学者参考-VHDL-based keyboard scan
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:712766
    • 提供者:Domo
  1. vhdl_key

    0下载:
  2. 由vhdl语言实现的小键盘控制文件,能实现消抖和4*4矩阵小键盘的扫描-Vhdl language from the keypad control file, to achieve debounce and 4* 4 matrix keypad scanning
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:1411
    • 提供者:李锋
  1. saomiao

    0下载:
  2. 利用VHDL编写而成的具有键盘扫描功能程序。-Written in VHDL with the use of the keyboard scanning process.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2254806
    • 提供者:
  1. keyboard_test

    0下载:
  2. 4×4键盘扫描程序。用VHDL语言写的键盘扫描编码输出的程序。-4 x4 keyboard scanning procedures. Written in VHDL language keyboard scan code output program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:258183
    • 提供者:kai
  1. key

    0下载:
  2. 应用FPGA中的vhdl语言编写进行键盘扫描程序-Keyboard scan application on VHDL keyboard scan
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:192194
    • 提供者:xiaoyu
« 1 2 34 »
搜珍网 www.dssz.com